library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity Agricultural_Robot is Port ( clk : in std_logic; -- سیگنال کلاک reset : in std_logic; -- سیگنال بازنشانی start : in std_logic; -- شروع عملیات temp_sensor : in integer range 0 to 50; -- ورودی سنسور دما light_sensor : in integer range 0 to 1000; -- ورودی سنسور نور moisture_sensor : in std_logic; -- سنسور رطوبت خاک (1: کم، 0: کافی) battery_level : in integer range 0 to 100; -- درصد شارژ باتری irrigation_done : in std_logic; -- تکمیل آبیاری energy_harvesting_done : in std_logic; -- تکمیل برداشت انرژی season : in std_logic_vector(1 downto 0); -- فصل: 00: بهار، 01: تابستان، 10: پاییز، 11: زمستان alert_robots : out std_logic; -- سیگنال اطلاع‌رسانی به ربات‌های دیگر heating : out std_logic; -- فعال‌سازی سیستم گرمایش cooling : out std_logic; -- فعال‌سازی سیستم خنک‌کننده lighting : out std_logic; -- فعال‌سازی سیستم روشنایی done : out std_logic; -- تکمیل عملیات current_state : out std_logic_vector(3 downto 0); -- وضعیت فعلی move_to_next_plant : out std_logic; -- سیگنال حرکت به گیاه بعدی obstacle_detected : in std_logic; -- ورودی شناسایی مانع move_direction : out std_logic_vector(1 downto 0); -- جهت حرکت: 00: جلو، 01: عقب، 10: چپ، 11: راست roof_open : out std_logic -- سیگنال باز شدن سقف ); end Agricultural_Robot; architecture Behavioral of Agricultural_Robot is -- تعریف حالات type state_type is (IDLE, PLANTING, GROWING, IRRIGATION, EVAPOTRANSPIRATION, TEMP_CTRL, LIGHT_CTRL, HARVESTING, ENERGY_HARVESTING, MOVING, CHARGING); signal state, next_state : state_type; -- سیگنال داخلی برای شارژ signal charging_internal : std_logic := '0'; -- محدوده‌های دما و نور constant spring_temp_low : integer := 15; constant spring_temp_high : integer := 25; constant spring_light_low : integer := 300; constant spring_light_high : integer := 500; constant summer_temp_low : integer := 25; constant summer_temp_high : integer := 35; constant summer_light_low : integer := 500; constant summer_light_high : integer := 700; constant autumn_temp_low : integer := 10; constant autumn_temp_high : integer := 20; constant autumn_light_low : integer := 200; constant autumn_light_high : integer := 400; constant winter_temp_low : integer := 5; constant winter_temp_high : integer := 15; constant winter_light_low : integer := 100; constant winter_light_high : integer := 300; signal temp_low, temp_high, light_low, light_high : integer; -- سیگنال برای عبور از مانع signal obstacle_avoided : std_logic := '0'; signal turn_direction : std_logic; -- 0: چپ، 1: راست begin -- انتخاب محدوده‌ها براساس فصل process (season) begin case season is when "00" => -- بهار temp_low <= spring_temp_low; temp_high <= spring_temp_high; light_low <= spring_light_low; light_high <= spring_light_high; when "01" => -- تابستان temp_low <= summer_temp_low; temp_high <= summer_temp_high; light_low <= summer_light_low; light_high <= summer_light_high; when "10" => -- پاییز temp_low <= autumn_temp_low; temp_high <= autumn_temp_high; light_low <= autumn_light_low; light_high <= autumn_light_high; when others => -- زمستان temp_low <= winter_temp_low; temp_high <= winter_temp_high; light_low <= winter_light_low; light_high <= winter_light_high; end case; end process; -- فرآیند انتقال حالات process (clk, reset) begin if reset = '1' then state <= IDLE; move_direction <= "00"; -- شروع با حرکت به جلو done <= '0'; -- وضعیت انجام عملیات charging_internal <= '0'; -- وضعیت شارژ داخلی roof_open <= '0'; -- سقف بسته obstacle_avoided <= '0'; -- وضعیت عبور از مانع turn_direction <= '0'; -- شروع با چپ heating <= '0'; cooling <= '0'; lighting <= '0'; -- غیرفعال‌سازی سیستم روشنایی alert_robots <= '0'; -- غیرفعال‌سازی سیگنال هشدار current_state <= (others => '0'); -- وضعیت فعلی را صفر کنید elsif rising_edge(clk) then state <= next_state; end if; end process; -- منطق تعیین حالت بعدی process (state, start, temp_sensor, light_sensor, moisture_sensor, irrigation_done, battery_level, energy_harvesting_done, obstacle_detected) begin case state is when IDLE => if start = '1' then next_state <= PLANTING; else next_state <= IDLE; end if; when PLANTING => current_state <= "0001"; -- به روز رسانی وضعیت next_state <= GROWING; when GROWING => current_state <= "0010"; -- به روز رسانی وضعیت next_state <= IRRIGATION; when IRRIGATION => current_state <= "0011"; -- به روز رسانی وضعیت if moisture_sensor = '1' then -- اگر رطوبت کم باشد next_state <= EVAPOTRANSPIRATION; elsif irrigation_done = '1' then next_state <= TEMP_CTRL; else next_state <= IRRIGATION; end if; when EVAPOTRANSPIRATION => next_state <= TEMP_CTRL; when TEMP_CTRL => if temp_sensor > temp_high then cooling <= '1'; -- فعال‌سازی سیستم خنک‌کننده heating <= '0'; -- غیرفعال‌سازی سیستم گرمایش elsif temp_sensor < temp_low then heating <= '1'; -- فعال‌سازی سیستم گرمایش cooling <= '0'; -- غیرفعال‌سازی سیستم خنک‌کننده else heating <= '0'; -- غیرفعال‌سازی سیستم گرمایش cooling <= '0'; -- غیرفعال‌سازی سیستم خنک‌کننده end if; if (season = "00" or season = "01") and (temp_sensor >= temp_low and temp_sensor <= temp_high) then roof_open <= '1'; -- باز کردن سقف else roof_open <= '0'; -- بستن سقف end if; next_state <= LIGHT_CTRL; when LIGHT_CTRL => if light_sensor < light_low then lighting <= '1'; -- فعال‌سازی سیستم روشنایی elsif light_sensor > light_high then lighting <= '0'; -- غیرفعال‌سازی سیستم روشنایی end if; next_state <= HARVESTING; when HARVESTING => current_state <= "0100"; -- به روز رسانی وضعیت if battery_level <= 10 then -- بررسی سطح شارژ باتری next_state <= CHARGING; -- رفتن به حالت شارژ else next_state <= MOVING; -- حرکت به گیاه بعدی end if; when CHARGING => charging_internal <= '1'; -- فعال‌سازی سیگنال شارژ داخلی if battery_level > 10 then charging_internal <= '0'; -- غیرفعال‌سازی سیگنال شارژ داخلی next_state <= MOVING; -- بازگشت به حالت حرکت else next_state <= CHARGING; -- ادامه در حالت شارژ end if; when MOVING => current_state <= "1000"; -- به روز رسانی وضعیت if battery_level < 10 then alert_robots <= '1'; -- اطلاع‌رسانی به ربات‌های دیگر next_state <= CHARGING; -- رفتن به حالت شارژ else if obstacle_detected = '1' and obstacle_avoided = '0' then turn_direction <= not turn_direction; -- تغییر جهت چرخش if turn_direction = '0' then move_direction <= "10"; -- چپ else move_direction <= "11"; -- راست end if; obstacle_avoided <= '1'; -- علامت‌گذاری عبور از مانع elsif obstacle_detected = '0' and obstacle_avoided = '1' then move_direction <= "00"; -- جلو obstacle_avoided <= '0'; -- بازنشانی وضعیت عبور از مانع else move_direction <= "00"; -- جلو end if; move_to_next_plant <= '1'; -- سیگنال حرکت به گیاه بعد end if; when others => next_state <= IDLE; -- حالت پیش‌فرض end case; end process; -- فرآیند تعیین وضعیت اتمام عملیات process (state, battery_level) begin if state = HARVESTING and battery_level > 10 then done <= '1'; -- تعیین وضعیت اتمام عملیات else done <= '0'; -- غیرفعال‌سازی وضعیت اتمام عملیات end if; end process; end Behavioral;